Unidade Central de Processamento
Topologia
Fluxo do Pipeline
Fluxo de sinais
Área por módulo
Interface
vhdl
entity CPU_TOP_LEVEL is
port (
clock : in std_logic := '0';
clear : in std_logic := '0';
enable : in std_logic := '1';
memory_read : out std_logic;
memory_write : out std_logic;
data_program : in WORK.CPU.t_PROGRAM := WORK.RV32I.NULL_INSTRUCTION;
data_memory_in : in WORK.CPU.t_DATA := (others => '0');
data_memory_out : out WORK.CPU.t_DATA;
address_program : out WORK.CPU.t_DATA;
address_memory : out WORK.CPU.t_DATA
);
end entity;
clock
: Sinal de clock.clear
: Limpa os dados da entidade.enable
: Habilita a entidade.data_program
: Dados da instrução da memória de programa.data_memory_in
: Dados de leitura da memória de dados.memory_read
: Habilita leitura da memória de dados.memory_write
: Habilita escrita na memória de dados.data_memory_out
: Dados de escrita na memória de dados.address_program
: Endereçamento da memória de programa.address_memory
: Endereçamento da memória de dados.
Usagem
Especificação RV32I RTL
Implementação a partir de componentes genéricos e lógica a nível de registradores e portas lógicas. Não amigável para FPGA, menor performance e consome mais recursos de síntese.
vhdl
CPU : entity WORK.CPU_TOP_LEVEL(RV32I)
port map (
clock => clock,
clear => '0',
enable => '1',
memory_read => enable_memory_read,
memory_write => enable_memory_write,
data_program => data_program,
data_memory_in => data_memory_in,
data_memory_out => data_memory_out,
address_program => address_program,
address_memory => address_memory
);
Especificação RV32I Sinóptica
WORK IN PROGRES
Implementação a partir de componentes genéricos e lógica descritiva. Amigável para FPGA.
vhdl
CPU : entity WORK.CPU_TOP_LEVEL(RV32I_SYN)
port map (
clock => clock,
clear => '0',
enable => '1',
memory_read => enable_memory_read,
memory_write => enable_memory_write,
data_program => data_program,
data_memory_in => data_memory_in,
data_memory_out => data_memory_out,
address_program => address_program,
address_memory => address_memory
);
Especificação RV32IM RTL
WORK IN PROGRES
To do.
Especificação RV32IM Sinóptica
WORK IN PROGRES
To do.